最佳答案:442赫兹是标准音很多调音器标准音都是 440Hz 或者 422Hz,Hz(赫兹)代表着振动频率,也就是说 442Hz 比 440Hz 要快两赫兹,很多小提琴学生或者家长在调琴的时候就会犯难,不知如何